Pat Gelsinger Wafer

L'Empire enfin prêt à contre-attaquer ? De quoi faire trembler TSMC ?

Hier fut une grosse journée pour Intel, avec beaucoup de blabla sur un panel de sujets, mais principalement Intel Foundry Services, ses procédés et l’IA, bien sûr. C’est en cette occasion de l’Intel Foundry Services Direct Connect 2024 que le fondeur américain a dévoilé le nouveau planning technologique pour sa division fonderie, ainsi que les partenaires pour cette aventure qui ne fait encore que démarrer. L’objectif principal reste de reprendre la couronne technologique à TSMC, et accessoirement, de la garder en faisant d’IFS le 2e plus grand fondeur au monde d’ici à 2030 ! Comme d’habitude, Intel a fait preuve de beaucoup d’humilité (s/).

Au fait, avant toute chose, oubliez Intel Foundry Services et IFS, la division a été renommée en Intel Foundry. Le reste n'a pas changé, mais les ambitions ont tout de même été réaffirmées. L’offre de services d’IF a pour vocation d’être complète, de la conception à la distribution, en passant par le packaging, et destinée à une clientèle interne (les clients d’Intel) autant qu’externe (les autres entreprises). Mais plus important encore, Intel a pour la première fois précisé que tout le monde sera servi équitablement. Autrement dit, pas de favoritisme, par exemple en faveur de lui-même. Tant mieux, mais ce n’est de toute façon pas une option si Intel espère vraiment pouvoir s’établir durablement comme fondeur pure-play.

Après avoir assuré que les objectifs ambitieux de 2021 et notamment la cadence de 5 procédés en 4 ans (projet "5N4Y") seront tenus, avec le démarrage de la production en volume de la première puce 18A d’Intel (aka Clearwater Forest), Intel a ensuite parlé du futur et de ses plans post-2024. Ainsi, nous savons à présent que celui qui succédera au procédé 18A sera le procédé 14A ! Oui, il ne fallait pas le chercher trop loin celui-là. Intel a sans aucun doute fait l’impasse sur le 16A pour éviter toute confusion avec son 16 nm, Intel 16. Ce sera le premier procédé à exploiter les nouveaux outils lithographiques High-NA EUV d’ASML, un équipement avec lequel Intel est en tête de liste (il se dit que TSMC va devoir attendre jusqu’en 2030) et a récemment commencé à réceptionner. Il sera aussi basé sur la nouvelle génération de PowerVIA et les transistors GAA RibbonFET.

Destiné a priori à lutter avec les 2 nm de Samsung et TSMC, Intel n’est toutefois pas encore trop entré dans les détails, expliquant ne pas vouloir déjà donner à ses concurrents matière à considérer. Sans trop de surprise, le fondeur n’a pas non plus donné de date, outre celles de la feuille de route établissant que c’est entre 2024 et 2027 que ça doit se jouer. Au doigt mouillé, supputons que le 14A pourrait arriver en 2026 et son évolution 14A-E en 2017 - le "E" fait référence à une extension de fonctionnalité. En tout cas, c’est dans l’usine orégonaise que commencera l’aventure, avant la mise en production par la suite dans d’autres usines du réseau d’Intel.

En parallèle, Intel Foundry proposera aussi un paquet d’évolutions/optimisations de procédés existants. Par exemple, le procédé Intel 3 sera décliné en Intel 3T, 3-E et 3-PT, l’Intel 18A évoluera en 18A-P. Le "P" indique une évolution avec une amélioration des performances, tandis que le "T" désigne les nodes avec TSV (Through Silicon Vias) compatibles avec le packaging hybride ou en 3 D. Certaines évolutions, comme le 3-PT, combineront ainsi les deux. Enfin, tout en haut de l’échelle de la maturité chez Intel, il y a également un nouveau procédé 16-E, évolution du 16 nm actuel. À l’avenir, Intel proposera un nouveau 12 nm, fruit d’une collaboration récente avec le fondeur taïwanais UMC et un 65 nm sera mis en œuvre pour fabriquer des puces pour Tower Semiconductor.

Sur le papier, c’est toujours aussi ambitieux. En tout cas, s’il y a bien une chose qu’Intel sait faire parfaitement, c’est faire preuve d’assurance et de confiance en leur capacité ! Mais maintenant, il faut aussi tenir ses promesses, surtout avec ses nouvelles technologies qui seront les plus à même d’attirer les clients, et arriver à gagner la confiance de ces derniers. Même si ce n’est a priori pas trop mal engagé, la partie n'est certainement pas gagnée pour autant, et TSMC et Samsung ne se laisseront évidemment pas faire. (Source : Intel, Tom's, Computerbase)

Pat Gelsinger Wafer

Brandir un wafer 14A au-dessus de sa tête tel un trophé, check !

Matt


  • les annonces sont cool et logique mais ça manque de concret aucune annonce de gain de perf conso ou de densité

    • Oui, c'est un peu le problème, ça rend aussi la comparaison difficile. Cela dit, s'ils ne sont pas communiqués au public, ils le sont sans aucun doute aux clients/futurs clients potentiels.

      • ça évite que le grand public comprenne le marketing aussi

        l intel 7 est une amélioration du 10 nm

        l'intel 4 vrai nouvelle gravure avec euv

        l'intel 3 version tout transistor de l'intel 4 derniere version en finfet donc normal qu'elle a le droit a des améliorations futur

        l'intel 20a passage au gaa mais apriori pas d'amélioration e densité 

        l'intel 18a comme l 'intel 3 version tout transistor et dernier version sans euv na qui divise par 2 la taille max des die donc normale la aussi qu'elle a droit a des amelioration

        intel 14a encore flou mais on peut penser a une amelioration de densité

        donc 6 nœuds différents mais "que" 2 amelioration densité un changement de type de transistor et un changement de laser

        • Complément sur gravure tsmc arrow lake et lunar lake

          https://wccftech.com/intel-ceo-confirms-tsmc-process-nodes-next-gen-cpus-n3-arrow-lake-n3b-lunar-lake/

          • oui mais c'est les die gpu que intel a toujours fait chez tsmc il ne sait pas faire des transistors haute densité pour gpu par contre lunar lake le die sera cpu + gpu apparemment

6 commentaires

Laissez votre commentaire

En réponse à Some User